summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
Diffstat (limited to 'src')
-rw-r--r--src/network/ent.c8
1 files changed, 0 insertions, 8 deletions
diff --git a/src/network/ent.c b/src/network/ent.c
index 4c2f24b5..ececdc48 100644
--- a/src/network/ent.c
+++ b/src/network/ent.c
@@ -16,11 +16,3 @@ void endhostent(void)
weak_alias(sethostent, setnetent);
weak_alias(gethostent, getnetent);
weak_alias(endhostent, endnetent);
-
-weak_alias(sethostent, setservent);
-weak_alias(gethostent, getservent);
-weak_alias(endhostent, endservent);
-
-weak_alias(sethostent, setprotoent);
-weak_alias(gethostent, getprotoent);
-weak_alias(endhostent, endprotoent);